Sign In | Join Free | My myecer.com
China Dongguan Ming Rui Ceramic Technology Co.,ltd logo
Dongguan Ming Rui Ceramic Technology Co.,ltd
Dongguan Ming Rui Ceramic Technology Co., Ltd
Active Member

8 Years

Home > Alumina Ceramic Parts >

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

Dongguan Ming Rui Ceramic Technology Co.,ltd

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

Brand Name : Mingrui

Model Number : XF-1010

Certification : ISO9001-2015

Place of Origin : Guangdong, China(mainland)

MOQ : 10PCS

Price : negotiation

Payment Terms : L/C, T/T, Western Union, MoneyGram, Paypal

Supply Ability : 5000pcs per week

Delivery Time : 10-30 working days after receiving deposit

Packaging Details : Packed in PP bag or sponge tray, then put in standard export carton packing, or OEM packing.

Product name : alumina ceramic boat

Material : Alumina ceramic

Features : Chemical Resistant

Highest application temperature : 2200 C, or customized

Bending strength : 300 MPA, or customized

Compressive Strength : 3400 MPA, or customized

Density : 3.9g/cm3 , or customized

Color : White, yellow, or customized

Size : Customized

Application : Wafer Carrier

Contact Now

High Temperature Resistant Alumina Ceramic Vertical Wafer Boat with 25 pcs Wafer Slot

Key words : Alumina Ceramic Vertical Wafer Boat

A wafer boat for supporting silicon wafers. The wafer boat includes a ceramic body having at least one wafer support structure sized to support a silicon wafer thereon. A ceramic coating is disposed on a surface of the wafer slot. The ceramic coating has an impurity migration preventing thickness and a wafer contact surface. The wafer contact surface has a post coating surface finish, which substantially prevents slip in the silicon wafers.

The present invention relates to semiconductor manufacturing. More specifically, the present invention relates to wafer boats having wafer support structures, such as slots, that are coated with a protective ceramic coating and subjected to a post coating finishing process to define a wafer contact surface having a post coating surface finish for each slot.
Although other materials may be used, e.g., Silicon-Germanium (SiGe) or Galium Arsenide (GaAs), Silicon (Si) is presently the most important semiconductor for the electronics industry. Very Large Scale Integrated (VLSI) circuit technology (i.e., up to about 100,000 devices per chip), and Ultra Large Scale Integrated (ULSI) circuit technology (i.e., more than 100,000 and in some cases exceeding one billion devices per chip) being based almost entirely on silicon.

Alumina Ceramic Wafer Boat

The increasing size of silicon wafers is one of the most obvious trends in silicon material technology. Presently, 300mm diameter wafers are expected to ultimately replace most 150 mm and 200 mm wafer applications. It is also predicted that 400 mm wafers will probably be introduced in the not too distant future. The use of larger diameter wafers for maintaining productivity presents several major challenges to semiconductor manufactures. For example, facilities with equipment capable of handling the larger wafers, e.g., vertical furnaces, must be built. New patterning techniques must be developed to print smaller feature sizes over larger areas. The larger wafers must also be thicker to increase their resistance to warping and other structural deformations. Moreover, the larger wafers are also heavier, requiring the use of automated wafer transport systems.

Ceramic Wafer Boat Features:

  • High purity
  • Excellent electrical & thermal insulator
  • Exceptional temperature resistance up to 3000°F (1650°C)
  • Outstanding corrosion resistance to acids, solvents, salts, and organics
Unit 95% Al2O3 99% Al2O3 ZrO2 GP-Si3N4
Color - white ivory white gray
Density g/cm³ 3.70 3.90 6.04 3.31
Water Absorption % 0 0 0 0
Young's Modulus Gpa 280 350 205 295
Vickers Hardness Gpa 14 20 12 18-20
Flexural Strength ( at R.T. ) Mpa 280 300 900 650
Compressive Strength ( at R.T. ) Mpa 2000 2500 2200 2200
Thermal Conductivity ( at R.T. ) W/(m.K) 18-25 30 2.2 25
Thermal Shock Resistance ΔT(°C) 220 180-200 280-350 450-650
Max. Working Temperature ( at R.T. ) °C 1500 1700 800 1200
Volume Resistivity ( at R.T. ) Ω.cm >10^15 >10^14 >10^12 >10^14
Dielectric Constant (1 MHz at R.T. ) - 9.50 9.80 26 8.20
Dielectric Strength kV/mm 16 22 - 16

Typical Characteristics Rotor: Outside diameter: < 30,00 mm ( 1.18") Thickness: < 7,00 mm ( . 28") Groove(s) dimensions: Width > 0,051 mm ( .0002") Depth > 0,13 mm ( .0052") Length > 2,00 mm ( .0780") Stator: Outside diameter: < 30,00 mm ( 1.18") Thickness: < 7,00 mm ( . 28") Hole diameter: > 0,22 mm x 0,25 mm (.008" x .010") Surface finish, Rotor or Stator Zirconia:  0,025 m (1 in) or N1 Alumina 99,9 %:  0,05 m (2 in) or N2 Alumina 96% or 99,7%:  0,1 to 0,2 m (4 to 8 in) or N3 to N4

Advantage of Ceramic Wafer Boat

1. Isostatic pressed.
2. Fire resistance.

3. Superior mechnical strength.
4. High corrosion and wear resistance.
5. High Temperature Resistance.
6. Good Insulation Performance Precision.
7. Zirconia and alumina ceramic material.

They are high corrosion and wear resistance and strong impact resistance and delivers 10 times longer working life than metal plunger. They give a longer life to the sealed packing material.


High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

Product Photos Show

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

Packaging and Shipping

Packed in PP bag or sponge tray, then put in standard export carton packing, or OEM packing.

Shipped by Air ( DHL, TNT, UPS, Fedex, EMS ), or Sea transportation.

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

Company information

Who Are We?

Mingrui is a professional factory with years OEM experience in manufacturing industrial ceramic parts.

What Do We Do?

We produce (OEM ) strengthened structure zirconia and alumina ceramic rod,tube, shaft, plate, sleeve, valve, plunger, bearing, nozzle and ceramic arm, they are applicable to instruments, medical instruments, clocks and electronic products, mills, energy and power, machine and metals, autocar and military, spaceflight and some other high-end fields.

All of our products with certificates, such as , CE, TUV, and so on.

Factory Show

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer SlotHigh Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot

Our Factory Welcomes Your Visiting!


Product Tags:

alumina ceramic components

      

machining alumina ceramic

      
 High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot Manufactures

High Temperature Resistant Alumina Ceramic Parts Vertical Wafer Boat With 25 Pcs Wafer Slot Images

Inquiry Cart 0
Send your message to this supplier
 
*From:
*To: Dongguan Ming Rui Ceramic Technology Co.,ltd
*Subject:
*Message:
Characters Remaining: (0/3000)